[module/network] type = internal/network interface = enp30s0 format-connected = format-connected-background = ${colors.grey-9} format-connected-padding = 1 label-connected = %{T7}%{T-} %{T2}%downspeed%%{T-} %{T7}%{T-} %{T2}%upspeed%%{T-} label-connected-foreground = ${colors.green-a4} format-disconnected = format-disconnected-background = ${colors.grey-9} format-disconnected-padding = 1 label-disconnected = %{T6}%{T-} label-disconnected-foreground = ${colors.red-a4}